Rechercher
Fermer ce champ de recherche.

Les 5 premiers équipementiers mondiaux du semi-conducteur sont rassemblés sur inovallée et bénéficient d’une conjoncture exceptionnelle de croissance !

A VPN is an essential component of IT security, whether you’re just starting a business or are already up and running. Most business interactions and transactions happen online and VPN

La pénurie des uns fait l’aubaine des autres : croissance exceptionnelle pour les équipementiers qui tirent leur épingle du jeu

On l’a vu, la pénurie fait rage en matière de composants électroniques, et les grands fabricants investissent en masse pour augmenter leurs capacités de production. Les premiers bénéficiaires sont évidemment les équipementiers, dont les fabricants de semi-conducteurs ont besoin pour augmenter leur production !

Applied Materials, le plus grand fabricant d’équipement, a vu ses actions doubler au cours des six derniers mois, tandis que Lam Research a gagné 77% sur la même période, soit près de deux fois le retour de l’indice des semi-conducteurs de Philadelphie et ASML est en hausse de 74% !

Les autres équipementiers du secteur ont progressé par sympathie, à l’instar de Lam Research Corp (+3,5%), Brooks automation (+4,1%) ou Teradyne (+4,4%),

A noter que les mémoires ont capté 44% de la croissance du marché. Les mémoires ont en effet profité de l’augmentation de la demande de serveurs et de PC tirée par le télétravail et l’apprentissage à domicile. Les revenus mondiaux des mémoires ont ainsi augmenté de 13,5 milliards de dollars en 2020, ce qui représente 44% de la croissance globale des revenus des semiconducteurs en 2020. Les mémoires flash NAND ont enregistré les meilleures performances avec une croissance des revenus de 23,9%, atteignant 52,8 milliards de dollars, en hausse de 10,2 milliards de dollars par rapport à 2019.

Les trois leaders se distinguent par des positions fortes sur des segments de marché. Si Applied Materials domine les équipements de déposition métallique et gravure, et Lam Research les étapes de traitement de la résine photosensible, ASML reste le numéro un mondial des machines de photolithographie, une technologie clé pour l’obtention des motifs dans les circuits et la course de la loi de Moore.

Il n’y a malheureusement pas de français dans le top 10 des équipementiers mondiaux pour le semi-conducteur. Mais les 5 leaders internationaux en tête du classement ont tous … une antenne sur inovallée :

  1. Applied Materials (IS)
  2. Lam Research (US)
  3. Asml (Hollande)
  4. TEL (Japon)
  5. KLA Tencor (US)

Tour d’horizon de ces équipementiers qui font d’inovallée un acteur clé de l’industrie du semi-conducteur

Applied Materials, numéro un mondial de la gravure

L’américain Applied Materials conserve sa couronne. Il faut dire que la gamme très large de machines fabriquée par le groupe est en effet utilisée pour une ou plusieurs étapes des procédés de fabrication dans les domaines de la microélectronique, du solaire photovoltaïque et des écrans plats.

Applied Materials fournit en effet des machines automatisées permettant la réalisation d’opérations de métallurgie (dépôts et gravure) sur des tranches de silicium (silicon wafers) de diamètres variables (actuellement 150, 200 et 300 mm). Les procédés utilisés sont le PVD (Physical Vapor Deposition), le CVD (Chemical Vapor Deposition) ainsi que la gravure (Etch) et le CMP (Chemical Mechanical Polishing). L’inspection par microscope électronique (SEM), l’implantation ionique font aussi partie des domaines de compétence de la compagnie.

La filiale atteint à présent à Bernin un effectif de 160 personnes après une cinquantaine d’embauches récentes. Elle continue à rechercher une dizaine de profils de tous niveaux en maintenance.

Applied Materials a réalisé au premier trimestre de son exercice fiscal 2020/2021 un bénéfice net de 1,13 milliard de dollars, ou 1,22 dollar par action, contre 892 millions, ou 96 cents par action un an plus tôt.

Et on l’a vu, la mémoire est le premier vecteur de croissance : rien d’étonnant dès lors qu’Applied Materials ait investi dans la startup Antaiois pour soutenir le développement des nouvelles technologies de SOC-RAM.

LAM Research, leader des pièces silicium pour les mémoires

Créée en 1980, LAM Research est le fournisseur mondial leader d’équipements et de services de fabrication de plaquettes pour l’industrie des semi-conducteurs. Ses technologies permettent aux fabricants de puces de construire des dispositifs électroniques plus petits, plus rapides et plus performants. En réalité, presque chaque puce avancée est aujourd’hui construite avec la technologie LAM.

Là encore, c’est sur les enjeux de mémoire que LAN Reseacrh se positionne avec ses pièces en silicium. La fabrication de puces en silicium a toujours comporté la gravure comme une étape de traitement critique. Mais, de plus en plus, la gravure à très haut rapport d’aspect a été nécessaire pour les puces 3D flash et DRAM, qui ont révolutionné les capacités de l’électronique de tous les jours comme les smartphones, les ordinateurs portables, et les nombreux autres appareils électroniques que nous utilisons quotidiennement. Cela a augmenté les exigences en matière d’équipement de gravure, et les outils de gravure haute performance de Lam ont été des catalyseurs essentiels du succès de ces processus.

Lam crée des pièces en silicium dans sa filiale Silfex, avec des spécifications de produit supérieures à celles des pièces concurrentes. Lam fabrique à la fois l’équipement de gravure et les pièces utilisées dans cet équipement. Cela signifie que l’équipe qui crée les pièces en silicium peut s’entretenir avec l’équipe qui crée les outils de gravure, offrant ainsi une boucle de communication étroite pour optimiser les performances des pièces.

«La trajectoire de croissance de Lam se poursuit avec un chiffre d’affaires et un bénéfice par action record au cours du trimestre de mars», a déclaré Tim Archer, directeur général de Lam, dans un communiqué. «Les semi-conducteurs atteignent de nouveaux sommets de pertinence stratégique, et la capacité différenciée de Lam à relever les défis de mise à l’échelle de nos clients nous positionne bien dans un environnement de dépenses de fabrication de plaquettes solide.»

Une croissance que LAM Research veut solidaire, puisque l’équipementier vient de faire don d’1 million de dollars pour la lutte contre le Covid-19 en Inde. Les fonds versés à Direct Relief soutiendront les efforts de l’organisation à travers l’Inde, notamment en fournissant des concentrateurs d’oxygène et d’autres fournitures médicales essentielles aux prestataires de soins de santé dans les communautés de la région

ASML, leader de l’EUV

ASML est l’un des leaders mondiaux de la fabrication de machines de photolithographie pour l’industrie des semi-conducteurs. La photolithographie consiste à graver les circuits intégrés sur des galettes de silicium qui constituent la matière première des industriels des semi-conducteurs. La photolithographie est une étape cruciale, la plus risquée et la plus coûteuse dans le procédé industriel de fabrication des semi-conducteurs. Elle repose de plus en plus aujourd’hui sur un rayonnement ultraviolet, une technologie (EUV) qui permet de pousser encore plus loin la miniaturisation des puces grâce à une finesse de gravure de l’ordre de 7 nm. Ces machines de 180 tonnes, qui coûtent plus de 100 millions d’euros et nécessitent 18 mois d’assemblage, sont vendues par une seule entreprise dans le monde : ASML. Les engins spécialisés dans l’EUV nécessitent de surcroît la présence permanente d’équipes d’ASML, afin de les maintenir en état.

On comprend mieux pourquoi du haut d’un chiffre d’affaires de 14 milliards en 2020, ASML règne sans difficultés sur le marché. « En fournissant la machine la plus compliquée et la plus coûteuse de toute la chaîne de traitement du silicium, souligne Eric Meurice, nous sommes le pas critique du développement du semi-conducteur. S’il est par exemple possible de commercialiser aujourd’hui des tablettes tactiles performantes, c’est parce que nous avons pu, voici 18 mois proposer les solutions de gravure adaptées. Nous vendons 100 à 150 de ces équipements chaque année, pour parfois 30 à 40 millions d’euros pièce… » Ce succès, ASML le doit largement à une stratégie « tout technologique » mise en place dès sa fondation dans les années 80. Une démarche gagnante puisque la société contrôle désormais 80 % de parts de marché sur son secteur d’activité avec des clients comme Intel, ST Microelectronic, Samsung, Toshiba ou encore Global Foundries. ASML fait enfin partie des entreprises européennes investissant le plus en matière de recherche, à hauteur de 80 000 euros par collaborateur et par an. Et le groupe recrute plus de 500 ingénieurs par an à travers le monde ! Et le siège de la filiale française est ici…

Tokyo Electron, le challenger de l’EUV

Concurrent direct d’ASML, Tokyo Electron est le troisième plus grand fabricant de machines lithographiques; au premier trimestre 2021, la société japonaise aavait l’intention d’investir dans la recherche et le développement d’au moins 1,25 milliard de dollars dans l’espoir de réussir dans la prochaine ère de la lithographie EUV. L’année dernière, le fabricant d’équipements de contrôle de la qualité des masques laser Lasertec a plus que doublé le volume des commandes liées à la technologie EUV. Tokyo Electron fournit également des produits chimiques pour le revêtement des plaquettes de silicium avant la gravure;. Tokyo Electron a l’intention de consacrer au moins 10% de son chiffre d’affaires annuel au développement de ce métier.
source : https://www.imprimeren3d.net/les-fabricants-japonais-dequipements-lithographiques-souhaitent-conserver-leur-position-apres-le-passage-a-leuv-mais-ce-sera-difficile-13290/

Avec un siège social à Tokyo, le groupe est présent dans 18 pays et emploie plus de 13000 personnes. La filiale française est basée sur inovallée depuis 1996, où elle ne produit pas mais est responsable de la commercialisation sur le marché européen et constitue le headquarter des activités de services.

KLA Tencor, leader de l’inspection de wafers

KLA TENCOR est parmi les dix premiers fabricants d’équipements de semi-conducteurs, dans le monde. Ses équipements d’inspection et de métrologie sont utilisés dans l’industrie des semi-conducteurs pour le contrôle des circuits intégrés à différentes étapes du processus de fabrication. La filiale française installée sur inovallée depuis 2001 réalise la vente et le SAV des équipements conçus et fabriqués par la maison mère aux USA. Ses machines d’inspection et de métrologie automatisées inspectent les dispositifs micoélectroniques sur une variété de substrats de plaquettes (wafers), afin d’en détecter les défauts, et à partir de différentes technologies optiques ou lasers. Mais KLA Tencor commercialise également des machines de métrologie haute précision pour wafers ou films.

Teradyne, le challenger du test

Le portefeuille de tests de semi-conducteurs de Teradyne transforme la façon dont ses clients testent les chipsets pour les applications automobiles, industrielles, de communications, grand public, smartphones et jeux électroniques et informatiques. Les dispositifs à semi-conducteurs couvrent une large gamme de fonctionnalités, depuis les dispositifs très simples et peu coûteux tels que les microcontrôleurs d’appareils, les amplificateurs opérationnels ou les régulateurs de tension jusqu’aux processeurs de signaux numériques et microprocesseurs complexes ainsi que les dispositifs de mémoire. Ses produits de test de semi-conducteurs sont conçus pour répondre aux besoins des développeurs et des fabricants de circuits intégrés autonomes, de systèmes sur puce et de systèmes en boîtier. Le chiffre d’affaires de l’américain a progressé de 36 % comparé à 2019, à 3,122 milliards de dollars américains. TERADYNE investi chaque année entre 15 et 20% de son chiffre d’affaires pour développer de nouveaux testeurs. 70% de son chiffre d’affaires est réalisé dans le domaine du « test automatique de composant » auprès des grands fabricants mondiaux de puces.
La filiale française est implantée sur inovallée depuis 1995.

SPTS Technologies, expert des équipements de gravure

SPTS Technologies conçoit, fabrique, vend et supporte des équipements de gravure (PVD, CVD et MVD), fournissant des technologies et des solutions avancées de traitement des plaquettes pour l’industrie des semi-conducteurs et de la microélectronique. Les applications du marché final comprennent les systèmes micro-électromécaniques (MEMS), l’emballage avancé, les LED, les circuits intégrés de dispositifs RF à haute vitesse et les semi-conducteurs de puissance.

Créée en 2009, SPTS rassemble plus de 40 ans d’expérience dans le traitement des plaquettes provenant de sociétés telles que Trikon Technologies, STS et Aviza Technology. Les solutions proposées par SPTS comprennent la gravure de silicium, la gravure diélectrique, la gravure à sec, le PVD, le PECVD et le MVD. SPTS fournit des équipements et des technologies de traitement des plaquettes aux principaux fabricants mondiaux de semi-conducteurs et de dispositifs microélectroniques ainsi qu’aux institutions de recherche, offrant des ventes locales dédiées et un support client par le biais d’un réseau de bureaux et d’agents internationaux. En vertu d’un accord avec SPP Technologies, Ltd, SPTS propose également des solutions APCVD et une gamme de fours verticaux à grand débit.

SPTS Technologies a été reconnue par les principaux experts de l’industrie, les agences gouvernementales et Sa Majesté la Reine, ayant reçu le Queen’s Award for Enterprise in International Trade en 2013 et 2017 et pour l’innovation en 2018 et 2020. SPTS a également reçu le prestigieux statut d’entreprise d’ancrage du gouvernement gallois.

Des perspectives florissantes pour les équipementiers

Le marché mondial des équipements de fabrication de semi-conducteurs a été évalué à 67,4 milliards USD en 2019 et devrait atteindre 95,9 milliards USD en 2025 ; il devrait enregistrer un TCAC de 9% entre 2020 et 2025.

Le marché présente un potentiel de croissance prometteur en raison de plusieurs facteurs, notamment la demande croissante de véhicules électriques et hybrides, l’augmentation des investissements dans les installations de R&D en raison de l’impact du COVID-19, la croissance du marché de l’électronique grand public et l’augmentation du nombre de fonderies, la tendance à la miniaturisation et à la migration des technologies, et la forte demande de puces destinées à fournir une puissance de calcul et une connectivité pour les applications d’intelligence artificielle.

La haute performance dans un faible encombrement offerte par le segment 3D devrait stimuler la croissance du marché dans diverses industries telles que l’armée, l’aérospatiale, l’électronique grand public, les télécommunications et l’automobile.

Le segment des équipements de contrôle chimique est estimé être le marché le plus important et à la croissance la plus rapide. Les équipements de contrôle chimique sont largement utilisés pour vérifier le flux et le niveau des produits chimiques utilisés dans la fabrication des plaquettes, le nettoyage et d’autres processus, ce qui a conduit à la plus grande part de ce segment. Il est également important de prendre en compte le facteur de pureté chimique lors de la livraison des produits chimiques dans le processus de fabrication des semi-conducteurs. En spécifiant les niveaux de pureté des produits chimiques livrés, le processus de fabrication des semi-conducteurs peut être contrôlé de manière significative.

 

Besoin d'un accompagnement ?