Rechercher
Fermer ce champ de recherche.

Nova, leader en métrologie embarquée, joue la carte de la complémentarité métrologie & caractérisation sur le marchés du Semiconducteur

A VPN is an essential component of IT security, whether you’re just starting a business or are already up and running. Most business interactions and transactions happen online and VPN

Nova Measuring Instruments, dont le siège social est situé en Israël, propose une large gamme d’équipements d’inspection et de métrologie pour l’industrie des semiconducteurs. L’enjeu pour l’entreprise et ses 750 collaborateurs, répartis dans 18 pays : permettre à ses clients d’améliorer la performance des process, d’obtenir des rendements plus élevés et d’accélérer la mise sur le marché de leurs circuits intégrés. Disposant d’un bureau dans les deux principaux pôles européens du semicon, à Dresden et à Grenoble, en plein cœur d’inovallée, Nova accompagne les principaux acteurs de ce secteur . Détenant un portefeuille d’environ 300 brevets, l’entreprise israélienne pourrait avoir la capacité de mesurer et caractériser les matériaux semiconducteurs émergents. Convaincue que l’Europe a un rôle majeur à jouer en matière de R&D pour rattraper son retard dans la course à l’innovation, Nova a parfaitement identifié le potentiel de développement de synergies fortes avec les acteurs grenoblois du semicon.

Les process de fabrication des dispositifs à semiconducteur pour créer des puces, les circuits intégrés présents dans les appareils électriques et électroniques de notre quotidien, sont de plus en plus complexes, pouvant aller jusqu’à une centaine d’étapes. Plutôt que de procéder à une phase finale de tests électriques, les fabs se sont donc dotées d’équipements d’inspection et de métrologie puissants pour effectuer des contrôles à chaque étape, afin de vérifier que le dépôt de matière est dans les spécifications attendues et que les connexions se font bien entre les transistors et les différentes lignes de métal.

En effet, une cavité mal remplie de matériau conducteur, une couche d’isolant hors spécification et c’est la performance du composant qui est impactée, entrainant des pertes de rendement, voire des effets d’échauffement.

Métrologie dimensionnelle : contrôler l’épaisseur des couches, un défi majeur à relever pour l’industrie du Semicon

Parmi les défis à relever pour l’industrie du semiconducteur, le contrôle de la qualité et de l’épaisseur des couches minces est en effet essentiel pour la performance et la fiabilité de la puce. En outre, il peut déterminer les performances des étapes de traitement ultérieures. Il est donc primordial que les caractéristiques clés du processus de dépôt soient surveillées et contrôlées. Par exemple, l’uniformité de l’épaisseur du film après le dépôt a un impact sur les performances des étapes ultérieures de CMP (Chemical Mechanical Polishing).

La surveillance et le contrôle des propriétés du film sont également critiques pour le canal du transistor, constitué de couches de silicium et de silicium-germanium. Pour certains dispositifs, ces couches doivent être mesurées sur des structures complexes en 2D ou 3D. De plus, la teneur en germanium dans les couches de silicium-germanium doit être contrôlée. Une mesure rendue encore plus difficile pour les dispositifs qui ont plusieurs couches de silicium-germanium, chacune ayant une concentration de germanium différente.

Les technologies avancées de Nova permettent à ses clients de détecter et de quantifier avec précision les petites variations dans la composition et l’épaisseur des couches. Nova propose en effet à ses clients, leaders dans le domaine des semi-conducteurs, des plateformes de métrologie optiques intégrées et autonomes en ligne pour caractériser les dimensions critiques (CD) avec une grande précision. Sa gamme de produits de métrologie, combinée à un logiciel d’algorithme de modélisation, offre des capacités de mesure uniques pour les nœuds technologiques de semi-conducteurs les plus avancés.

Nova designe des équipements réservés aux laboratoires pour les usines : une stratégie payante

La technologie Nova de Métrologie dimensionnelle s’allie à une technologie innovante de métrologie des matériaux pour les dispositifs à circuits intégrés avancés. Les équipements de spectrométrie à rayons X étaient, à l’origine, réservés à l’usage des laboratoires étant donné la complexité des machines. La stratégie de Nova a alors consisté à designer ces équipements pour qu’ils puissent être intégrés dans les usines pour le contrôle des processus en ligne des semi-conducteurs, permettant une mesure avancée des dimensions et des propriétés des matériaux tout au long du cycle de vie de la fabrication. Les solutions optiques et à rayons X en ligne de Nova utilisent une métrologie non destructive avec des temps de mesures compatibles avec les exigences de l’industrie du semiconducteur.

Nova apporte aujourd’hui un large spectre de technologies, uniquement disponibles précédemment dans les laboratoires, telles que la spectroscopie photoélectronique induits par rayons X (en anglais, X-Ray photoelectron spectrometry : XPS) et la spectrométrie de fluorescence des rayons X (XRF), pour fournir des informations étendues en mesurant une large gamme d’applications. Ces solutions de métrologie et de caractérisations des matériaux réduisent les temps d’arrêt de fabrication et améliorent la qualité des données sur les dimensions et la compositions des matériaux utilisées tout au long du process de fabrication d’un composant.

La spectroscopie Raman : une solution révolutionnaire pour caractériser les matériaux émergents

Nova, exemple de circuit avec les lignes de Métal à mesurer Alors que les circuits intégrés et leurs composants continuent à évoluer, de nombreux matériaux émergents et composés sont introduits dans le processus de fabrication. Si le silicium est toujours le matériau semiconducteur le plus couramment utilisé aujourd’hui, le formidable développement des technologies a en effet entraîné l’apparition de nouveaux matériaux semiconducteurs, aux comportements parfois insolites.

Cette évolution a diverses conséquences, telles que l’amincissement des couches utilisées pour fabriquer des dispositifs avancés, ou la nécessité de fournir des informations détaillées sur les propriétés des matériaux, afin de stabiliser le processus de la phase de R&D et d’assurer un contrôle efficace du processus dans la fabrication de gros volumes.

Nova a donc lancé en 2020 Nova ELIPSON™, une nouvelle plateforme de métrologie autonome en ligne, basée sur la spectroscopie Raman, conçue pour mesurer de manière plus précise les propriétés des matériaux. Elle fournit de nouvelles informations et caractéristiques sur les matériaux, inégalées par tout autre système de métrologie des matériaux en ligne. Elle offre donc de belles perspectives sur la caractérisation des matériaux émergents, tels que le Nitrure de Galium ou le Carbure de Sillicium.

Nova, leader en métrologie embarquée

Une partie des équipements développées par Nova ont été conçues pour se rattacher à un équipement de production existant, en minimisant son empreinte au sol. Pendant que certaines plaques sont en étape de fabrication, d’autres passent dans l’équipement embarqué de Nova pour être mesurées. Ces tools, qui peuvent être intégrés à du CMP, CVD, Etch ou lithographie, permettent de réaliser des opérations de mesures en temps masqué par rapport à la production.

Les opérateurs étant de moins en moins nombreux dans les fabs, les machines doivent être extrêmement fiables pour en faire des tools entièrement automatisés. Un véritable challenge aujourd’hui, avec les dernières avancées technologiques, pour les usines à forts volumes situées en Asie ou aux Etats-Unis, qui cherchent à minimiser les coûts de production, donc à réduire les tailles de lignes de contact. À chaque étape, les technologies Nova sont donc là pour réduire l’empreinte de la puce de la plaque de silicium.

Une approche holistique en matière de métrologie

La valeur ajoutée de Nova réside également dans le développement d’une approche holistique en matière de métrologie. Pour les applications les plus difficiles, Nova propose des solutions de métrologie hybride, combinant les forces de deux ou plusieurs technologies de métrologie pour fournir des résultats supérieurs à ce que chaque technologie peut obtenir individuellement. Il est par exemple possible de collecter les data d’un équipement AFM, qui mesure la topographie d’un matériau, et d’intégrer les résultats dans le modèle Nova pour améliorer la pertinence de calcul.

L’entreprise associe en effet un matériel robuste de haute précision à une modélisation logicielle de pointe, qui intègre l’apprentissage automatique et le big data dans des configurations intégrées et autonomes. Avec son offre complète de métrologie des matériaux et de métrologie dimensionnelle, combinée à une modélisation avancée, Nova permet aux fabricants de semiconducteurs de mieux comprendre l’ensemble du processus de fabrication, d’augmenter les rendements et de réduire le temps de mise sur le marché.

Big Data et Machine Learning : une avance significative en la matière

Les solutions de modélisation logicielle de Nova combinent des algorithmes de premier ordre dans le domaine de l’intelligence artificielle et de l’apprentissage automatique. La suite de produits de modélisation logicielle de Nova est composée de solutions de modélisation physique et géométrique (Nova MARS®) et de modélisation par machine learning.

Afin de faire face aux défis croissants de la métrologie des semi-conducteurs, avec les empilements de couches et les différentes topographies, Nova injecte à la fois des connaissances physiques et des connaissances liées au processus dans le but de résoudre les modèles trop complexes à modéliser. Cette offre combinée permet une robustesse et une précision accrues, une productivité améliorée, une gamme étendue d’applications de pointe et un délai de résolution plus rapide.

Avec la volonté affirmée au niveau européen d’avoir désormais la capacité de produire leurs propres composants, face aux enjeux de souveraineté des Etats-Unis et de l’Asie, Nova va renforcer prochainement son équipe en Europe. Chaque fab en Europe a déjà mis en route ses projets d’agrandissement et d’augmentation de ses capacités. Et si le chiffre d’affaires de Nova reste relativement faible sur ce continent, intensifier sa présence reste toutefois hautement stratégique, l’Europe ayant certainement un rôle majeur à jouer en termes de R&D pour l’industrie du semiconducteur. Quand on connait la qualité des acteurs et le dynamisme des acteurs grenoblois du Semicon, ST Microelectronics, Soitec, le CEA-Léti, ou encore Aledia et Lynred, on mesure en effet l’enjeu pour la France… et pour Grenoble !

Besoin d'un accompagnement ?